MIPS

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:2989KB
下载次数:294
上传日期:2009-01-07 17:00:11
上 传 者csstu
说明:  组成原理大作业--基于MIPS的运算器设计,内附详细设计文档,包含设计文档和使用手册,主程序,测试程序,还有设计的框图等。实现了可以执行基本的MIPS有关运算器相关的指令共17条,用Verilog编写。
(Composition Principle big operation- based on the MIPS computing design, containing a detailed design document, including design documentation and user manual, the main program, testing procedures, as well as the design of the diagram and so on. Can be implemented to achieve a basic computing device on the MIPS instruction were related to 17, prepared using Verilog.)

文件列表:
组成原理大作业--基于MIPS的运算器设计\create_testfile.exe (573545, 2008-12-17)
组成原理大作业--基于MIPS的运算器设计\使用手册.pdf (341424, 2008-12-25)
组成原理大作业--基于MIPS的运算器设计\框图\1bitALU.vsd (58368, 2008-12-25)
组成原理大作业--基于MIPS的运算器设计\框图\1bitALUDetail.vsd (98304, 2008-12-25)
组成原理大作业--基于MIPS的运算器设计\框图\32bitALU.vsd (57344, 2008-12-25)
组成原理大作业--基于MIPS的运算器设计\框图\32bitALUdetail.vsd (76288, 2008-12-25)
组成原理大作业--基于MIPS的运算器设计\框图\8-bit ALU.vsd (79360, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\框图\div32.vsd (77312, 2008-12-25)
组成原理大作业--基于MIPS的运算器设计\框图\mult.vsd (79360, 2008-12-25)
组成原理大作业--基于MIPS的运算器设计\框图\乘法器流程.vsd (70144, 2008-12-25)
组成原理大作业--基于MIPS的运算器设计\框图\寄存器.vsd (65536, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\框图\引脚图\ALU.vsd (64000, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\框图\引脚图\slt处理.vsd (59904, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\框图\引脚图\乘法器.vsd (61440, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\框图\引脚图\寄存器堆.vsd (68096, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\框图\引脚图\控制信号.vsd (65536, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\框图\引脚图\标志位处理.vsd (65536, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\框图\引脚图\移位寄存器.vsd (62464, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\框图\引脚图\符号处理.vsd (59904, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\框图\引脚图\符号扩展.vsd (60416, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\框图\引脚图\运算器.vsd (61440, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\框图\引脚图\除法器.vsd (62464, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\框图\运算器数据通路.vsd (107520, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\框图\除法器流程.vsd (67072, 2008-12-25)
组成原理大作业--基于MIPS的运算器设计\源程序\C++程序\create_testfile.rar (719321, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\源程序\C++程序\shift2.rar (429467, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\源程序\主程序\alu.v (720, 2008-12-17)
组成原理大作业--基于MIPS的运算器设计\源程序\主程序\alu32.v (1194, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\源程序\主程序\alu8.v (4322, 2008-12-11)
组成原理大作业--基于MIPS的运算器设计\源程序\主程序\alucontrl.v (1890, 2008-12-17)
组成原理大作业--基于MIPS的运算器设计\源程序\主程序\arithmetic.v (3099, 2008-12-17)
组成原理大作业--基于MIPS的运算器设计\源程序\主程序\bitext.v (434, 2008-12-17)
组成原理大作业--基于MIPS的运算器设计\源程序\主程序\divider32.v (6803, 2008-12-17)
组成原理大作业--基于MIPS的运算器设计\源程序\主程序\flagpro.v (1160, 2008-12-17)
组成原理大作业--基于MIPS的运算器设计\源程序\主程序\mult32.v (7493, 2008-12-18)
组成原理大作业--基于MIPS的运算器设计\源程序\主程序\mux2.v (169, 2008-12-11)
组成原理大作业--基于MIPS的运算器设计\源程序\主程序\mux2_2.v (168, 2008-12-11)
组成原理大作业--基于MIPS的运算器设计\源程序\主程序\mux4.v (231, 2008-12-11)
组成原理大作业--基于MIPS的运算器设计\源程序\主程序\registerfile.v (685, 2008-12-11)
组成原理大作业--基于MIPS的运算器设计\源程序\主程序\shift2.v (27019, 2008-12-17)
... ...

近期下载者

相关文件


收藏者