PSK_VHDL

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:71KB
下载次数:9
上传日期:2009-01-07 19:57:55
上 传 者handsong
说明:   CPSK调制VHDL程序 --文件名:PL_CPSK --功能:基于VHDL硬件描述语言,对基带信号进行调制
(VHDL procedures CPSK modulation- the file name: PL_CPSK- features: VHDL hardware description language based on the base-band signal modulation)

文件列表:
8.11 PSK调制与解调VHDL程序及仿真.doc (115712, 2010-03-28)

近期下载者

相关文件


收藏者