8051_IP_Verilog

所属分类:单片机开发
开发工具:VHDL
文件大小:497KB
下载次数:131
上传日期:2009-01-16 16:47:22
上 传 者yaochen
说明:  8051单片机源码verilog版本 包括rtl, testbench, synthesis
(Verilog source code version of 8051, including rtl, testbench, synthesis)

文件列表:
8051的Verilog源代码\8051_rtl\verilog\oc8051_acc.v (4456, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_alu.v (7607, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_alu_src1_sel.v (3827, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_alu_src2_sel.v (3656, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_alu_src3_sel.v (3401, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_comp.v (3924, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_cy_select.v (3683, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_decoder.v (101008, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_defines.v (16128, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_divide.v (4774, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_dptr.v (4167, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_ext_addr_sel.v (3688, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_fpga_tb.v (1212, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_fpga_top.v (1671, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_immediate_sel.v (3743, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_indi_addr.v (4808, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_multiply.v (2451, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_op_select.v (5865, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_pc.v (8599, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_port_out.v (4493, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_psw.v (5121, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_ram_rd_sel.v (3738, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_ram_sel.v (4811, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_ram_sel1.v (4740, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_ram_top.v (7035, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_ram_top1.v (7014, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_ram_wr_sel.v (3936, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_reg1.v (3262, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_reg2.v (3286, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_reg3.v (3288, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_reg4.v (3288, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_reg5.v (3285, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_reg8.v (3343, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_rom_addr_sel.v (3989, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_sp.v (5309, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_tb.v (4278, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_timescale.v (22, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_top.v (13764, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\oc8051_top1.v (13327, 2001-08-04)
8051的Verilog源代码\8051_rtl\verilog\read me.txt (95, 2002-02-12)
... ...

近期下载者

相关文件


收藏者