avr-motor-control-20080712

所属分类:单片机开发
开发工具:C/C++
文件大小:146KB
下载次数:36
上传日期:2009-02-07 20:45:26
上 传 者jawen
说明:  avr单片机ATMega16对三相异步电机的智能控制源码,编译环境为ICCAVR6.31,基于分时调度系统内核的软件实现。
(avr Singlechip ATmega16 on three-phase asynchronous motor intelligent control source, the compiler environment ICCAVR6.31, based on time-sharing scheduling system software kernel.)

文件列表:
avr-motor-control-20080712\alarm.c (538, 2009-02-07)
avr-motor-control-20080712\alarm.dp2 (460, 2009-02-07)
avr-motor-control-20080712\alarm.h (203, 2009-02-07)
avr-motor-control-20080712\alarm.i (0, 2009-02-07)
avr-motor-control-20080712\alarm.lis (4792, 2009-02-07)
avr-motor-control-20080712\alarm.o (1251, 2009-02-07)
avr-motor-control-20080712\alarm.s (1975, 2009-02-07)
avr-motor-control-20080712\alarm._c (1177, 2008-08-23)
avr-motor-control-20080712\alarm._h (842, 2008-08-22)
avr-motor-control-20080712\check.c (1648, 2009-02-07)
avr-motor-control-20080712\check.dp2 (460, 2009-02-07)
avr-motor-control-20080712\check.h (342, 2009-02-07)
avr-motor-control-20080712\check.i (0, 2009-02-07)
avr-motor-control-20080712\check.lis (14461, 2009-02-07)
avr-motor-control-20080712\check.o (4237, 2009-02-07)
avr-motor-control-20080712\check.s (6106, 2009-02-07)
avr-motor-control-20080712\check._c (2287, 2008-08-23)
avr-motor-control-20080712\check._h (981, 2008-08-23)
avr-motor-control-20080712\common.h (2987, 2009-02-07)
avr-motor-control-20080712\common._h (3626, 2008-08-23)
avr-motor-control-20080712\easy_icc.cof (12041, 2009-02-07)
avr-motor-control-20080712\easy_icc.dbg (8481, 2009-02-07)
avr-motor-control-20080712\easy_icc.hex (7398, 2009-02-07)
avr-motor-control-20080712\easy_icc.lk (44, 2009-02-07)
avr-motor-control-20080712\easy_icc.lst (60434, 2009-02-07)
avr-motor-control-20080712\easy_icc.mak (3349, 2009-02-07)
avr-motor-control-20080712\easy_icc.mp (3604, 2009-02-07)
avr-motor-control-20080712\easy_icc.prj (1065, 2009-02-07)
avr-motor-control-20080712\EASY_ICC.SRC (137, 2008-08-22)
avr-motor-control-20080712\main.c (1488, 2009-02-07)
avr-motor-control-20080712\main.dp2 (458, 2009-02-07)
avr-motor-control-20080712\main.h (353, 2009-02-07)
avr-motor-control-20080712\main.i (0, 2009-02-07)
avr-motor-control-20080712\main.lis (9671, 2009-02-07)
avr-motor-control-20080712\main.o (2743, 2009-02-07)
avr-motor-control-20080712\main.s (4226, 2009-02-07)
avr-motor-control-20080712\main._c (2127, 2008-08-23)
avr-motor-control-20080712\main._h (992, 2008-08-21)
avr-motor-control-20080712\motor.c (5314, 2009-02-07)
avr-motor-control-20080712\motor.dp2 (460, 2009-02-07)
... ...

近期下载者

相关文件


收藏者