fft1024

所属分类:汇编语言
开发工具:VHDL
文件大小:21KB
下载次数:48
上传日期:2009-02-17 00:18:31
上 传 者Leith esti
说明:  an implementation of fft 1024 with cos and sin generated by matlab.

文件列表:
fft1024\adder.vhd (1342, 2008-11-03)
fft1024\BF2I.vhd (3376, 2008-11-05)
fft1024\BF2II.vhd (4498, 2008-11-04)
fft1024\comp_mult.vhd (3606, 2008-11-03)
fft1024\counterhle.vhd (1112, 2008-11-04)
fft1024\fft.vhd (4389, 2008-11-05)
fft1024\fft_core.vhd (11095, 2008-11-05)
fft1024\fft_filed_tb.vhd (5186, 2008-11-05)
fft1024\fft_pkg.vhd (509, 2008-11-03)
fft1024\multiplier.vhd (1312, 2008-11-03)
fft1024\mux2_mmw.vhd (794, 2008-11-05)
fft1024\rom1.vhd (5237, 2008-11-10)
fft1024\rom2.vhd (1812, 2008-11-05)
fft1024\rom3.vhd (1812, 2008-11-05)
fft1024\rom4.vhd (1812, 2008-11-05)
fft1024\shiftreg1.vhd (1050, 2008-11-03)
fft1024\shiftregN.vhd (1278, 2008-11-03)
fft1024\stage_I.vhd (3059, 2008-11-05)
fft1024\stage_II.vhd (5162, 2008-11-04)
fft1024\stage_II_ last.vhd (3455, 2008-11-03)
fft1024\stage_I_ last.vhd (3360, 2008-11-05)
fft1024\subtract.vhd (1416, 2008-11-03)
fft1024\tb_cfft.vhd (1849, 2008-11-05)
fft1024\twiddle_mult.vhd (2198, 2008-11-11)
fft1024 (0, 2009-02-16)

近期下载者

相关文件


收藏者