usb_FPGA

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:254KB
下载次数:355
上传日期:2009-02-25 00:51:03
上 传 者redtalon
说明:  实现USB接口功能的VHDL和verilog完整源代码
(Implementation USB interface functions of the VHDL and Verilog source code integrity)

文件列表:
usb完整代码\vhdl\hdli.vhd (21350, 2001-10-13)
usb完整代码\vhdl\usb_new_clkrec_ent.vhdl (1820, 2001-10-13)
usb完整代码\vhdl\usb_new_clkrec_rtl.vhdl (8470, 2001-10-13)
usb完整代码\vhdl\usb_new_device_handler_ent.vhdl (3376, 2001-10-13)
usb完整代码\vhdl\usb_new_device_handler_rtl.vhdl (15663, 2001-10-13)
usb完整代码\vhdl\usb_new_ep_handler_ent.vhdl (5747, 2001-10-13)
usb完整代码\vhdl\usb_new_ep_handler_rtl.vhdl (10821, 2001-10-13)
usb完整代码\vhdl\usb_new_glue_ent.vhdl (6211, 2001-10-13)
usb完整代码\vhdl\usb_new_glue_rtl.vhdl (4285, 2001-10-13)
usb完整代码\vhdl\usb_new_pck_apb.vhdl (9283, 2001-10-13)
usb完整代码\vhdl\usb_new_pck_commands.vhdl (1524, 2001-10-13)
usb完整代码\vhdl\usb_new_pck_configuration.vhdl (32010, 2001-10-13)
usb完整代码\vhdl\usb_new_pck_general.vhdl (34341, 2001-10-13)
usb完整代码\vhdl\usb_new_pck_handlers.vhdl (10908, 2001-10-13)
usb完整代码\vhdl\usb_new_pck_setup.vhdl (12603, 2001-10-13)
usb完整代码\vhdl\usb_new_pck_usb.vhdl (52757, 2001-10-13)
usb完整代码\vhdl\usb_new_pvci_cntrl_ent.vhdl (3327, 2001-10-13)
usb完整代码\vhdl\usb_new_pvci_cntrl_rtl.vhdl (3981, 2001-10-13)
usb完整代码\vhdl\usb_new_pvci_eng_ent.vhdl (5978, 2001-10-13)
usb完整代码\vhdl\usb_new_pvci_eng_str.vhdl (33956, 2001-10-13)
usb完整代码\vhdl\usb_new_rcv_ram_ent.vhdl (3719, 2001-10-13)
usb完整代码\vhdl\usb_new_rcv_ram_rtl.vhdl (18205, 2001-10-13)
usb完整代码\vhdl\usb_new_rgen_ent.vhdl (1809, 2001-10-13)
usb完整代码\vhdl\usb_new_rgen_rtl.vhdl (7739, 2001-10-13)
usb完整代码\vhdl\usb_new_sieinterface_ent.vhdl (3516, 2001-10-13)
usb完整代码\vhdl\usb_new_sieinterface_rtl.vhdl (22289, 2001-10-13)
usb完整代码\vhdl\usb_new_sie_ent.vhdl (3705, 2001-10-13)
usb完整代码\vhdl\usb_new_sie_rtl.vhdl (32252, 2001-10-13)
usb完整代码\vhdl\usb_new_synchronizer_ent.vhdl (6276, 2001-10-13)
usb完整代码\vhdl\usb_new_synchronizer_rtl.vhdl (14366, 2001-10-13)
usb完整代码\vhdl\usb_new_timers_sf_ent.vhdl (2603, 2001-10-13)
usb完整代码\vhdl\usb_new_timers_sf_rtl.vhdl (11257, 2001-10-13)
usb完整代码\vhdl\usb_new_trnsmt_ram_ent.vhdl (3838, 2001-10-13)
usb完整代码\vhdl\usb_new_trnsmt_ram_rtl.vhdl (15827, 2001-10-13)
usb完整代码\vhdl\usb_new_tx_sf_dpdm_ent.vhdl (1044, 2001-10-13)
usb完整代码\vhdl\usb_new_tx_sf_dpdm_rtl.vhdl (1430, 2001-10-13)
usb完整代码\vhdl\usb_new_uc_handler_ent.vhdl (2996, 2001-10-13)
usb完整代码\vhdl\usb_new_uc_handler_rtl.vhdl (41334, 2001-10-13)
usb完整代码\vhdl\usb_new_upstreamled_ent.vhdl (1490, 2001-10-13)
usb完整代码\vhdl\usb_new_upstreamled_rtl.vhdl (2609, 2001-10-13)
... ...

This directory includes .... 1. smarti.v : usb to smartmedia card interface . 2. smarti_box.v : smart box for fpga synthesis . 3. smarti_params.v : parameters. 4. tri_bus.v : bidirectional io bus .

近期下载者

相关文件


收藏者