signal_generator

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:37
上传日期:2009-03-04 08:12:09
上 传 者yinshtony
说明:  基于vhdl的多功能函数信号发生器的设计,能实现三角波、方波、正弦波。
(VHDL-based multi-function signal generator design, can achieve the triangular wave, square wave, sine wave.)

文件列表:
signal_generator.txt (2210, 2009-03-04)

近期下载者

相关文件


收藏者