dual_port_ram

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:268KB
下载次数:658
上传日期:2009-03-17 16:28:23
上 传 者hwjzyy2008
说明:  实现双口ram的读写功能,并含有测试文件,已经经过方针验证,很好用的
(the writing and reading to the dual port ram ,good)

文件列表:
双端口RAM的设计与测试(verilog) - 学习实例 - HDL语言 - EDA专业论坛 最专业的FPGA-IC设计论坛 - Powered by Discuz!.mht (655249, 2009-03-21)

近期下载者

相关文件


收藏者