CordicNCO

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:4KB
下载次数:141
上传日期:2009-03-23 20:09:53
上 传 者wendysen
说明:  基于CORDIC算法的,数字控制振荡器的设计。带测试程序,输入一个振荡频率,输出SIN和COS的波形!
(Based on the CORDIC algorithm, the digital controlled oscillator design. With test procedures, enter a oscillation frequency, the output waveform SIN and COS!)

文件列表:
tb_sc_corproc.vhd (1149, 2008-09-07)
CodicNCO.v (581, 2008-06-05)
p2r_cordic.vhd (1801, 2008-06-01)
p2r_CordicPipe.vhd (3531, 2001-06-07)
sc_corproc.vhd (1275, 2008-09-07)
tb_CodicNCO.vhd (1290, 2008-06-05)

近期下载者

相关文件


收藏者