RomNCO

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:29KB
下载次数:82
上传日期:2009-03-23 20:17:53
上 传 者wendysen
说明:  基于NCO的数字控制振荡器。带测试程序,输出12位的COS和SIN波形。
(Based on the digital control oscillator NCO. With test procedures, the output 12 of the COS and the SIN waveform.)

文件列表:
rom_sin_12_12.txt (20480, 2007-08-27)
RomCos.v (542, 2005-06-02)
RomNCO.v (555, 2008-06-05)
RomSin.v (528, 2005-06-02)
tb_RomNCO.vhd (1336, 2008-06-02)
rom_cos_12_12.mif (64991, 2007-08-27)
rom_cos_12_12.txt (20480, 2007-08-27)
rom_sin_12_12.mif (65020, 2007-08-27)

近期下载者

相关文件


收藏者