I2C_SLAVE

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:8KB
下载次数:24
上传日期:2009-03-28 17:22:58
上 传 者greyzhuang
说明:  I2C_SLAVER FPGA 源码 已经验证
(I2C_SLAVER FPGA )

文件列表:
i2c_control.jhd (40, 2005-08-26)
i2c_sl~2.ini (0, 2005-08-25)
i2c_sl~2.sty (228, 2005-08-26)
i2c_control_top_tf.tf (12493, 2005-08-26)
i2c_sl~2.h (0, 2005-08-26)
i2c_sl~2.syn (351, 2005-08-26)
io_reg.jhd (30, 2005-08-26)
i2c_control_top.v (4701, 2005-08-26)
io_reg.v (2164, 2005-08-26)
i2c_control_top.jhd (368, 2005-08-26)
i2c_sl~2.lci (1708, 2005-10-11)
i2c_sl~2.lct (1708, 2005-10-11)
i2c_control.v (9796, 2005-08-26)

近期下载者

相关文件


收藏者