crc_16

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:237KB
下载次数:9
上传日期:2009-03-29 23:23:31
上 传 者shmyg
说明:  基于FPGA的1CRC_16校验基于FPGA的1CRC_16校验基于FPGA的1CRC_16校验
(FPGA-based FPGA-based 1CRC_16 check 1CRC_16 check FPGA-based validation 1CRC_16)

文件列表:
crc2\CRC.bsf (1612, 2008-10-14)
crc2\crc2.asm.rpt (7348, 2008-10-15)
crc2\crc2.bdf (9248, 2008-10-15)
crc2\crc2.bsf (1668, 2008-10-14)
crc2\crc2.done (26, 2008-10-15)
crc2\crc2.eda.rpt (3155, 2008-10-15)
crc2\crc2.fit.rpt (102916, 2008-10-15)
crc2\crc2.fit.smsg (411, 2008-10-15)
crc2\crc2.fit.summary (401, 2008-10-15)
crc2\crc2.flow.rpt (5824, 2008-10-15)
crc2\crc2.map.rpt (22237, 2008-10-15)
crc2\crc2.map.summary (402, 2008-10-15)
crc2\crc2.pin (20471, 2008-10-15)
crc2\crc2.pof (131258, 2008-10-15)
crc2\crc2.qpf (907, 2008-10-14)
crc2\crc2.qsf (2841, 2008-10-15)
crc2\crc2.qws (531, 2008-10-15)
crc2\crc2.sim.rpt (22253, 2008-10-15)
crc2\crc2.sof (74078, 2008-10-15)
crc2\crc2.tan.rpt (13619, 2008-10-15)
crc2\crc2.tan.summary (719, 2008-10-15)
crc2\crc2.vwf (32817, 2008-10-15)
crc2\crc2_description.txt (0, 2008-10-14)
crc2\crc_and.bsf (1818, 2008-10-14)
crc2\crc_and.inc (1210, 2008-10-14)
crc2\crc_and2.bsf (1821, 2008-10-15)
crc2\crc_and2.vhd (522, 2008-10-15)
crc2\crc_and2.vhd.bak (518, 2008-10-15)
crc2\crc_j.bsf (1620, 2008-10-15)
crc2\crc_j.vhd (988, 2008-10-15)
crc2\crc_j.vhd.bak (987, 2008-10-15)
crc2\crc_jym1.bsf (1775, 2008-10-14)
crc2\crc_p.bsf (1802, 2008-10-15)
crc2\crc_p.vhd (737, 2008-10-15)
crc2\crc_p.vhd.bak (651, 2008-10-15)
crc2\db\crc2.(0).cnf.cdb (2724, 2008-10-15)
crc2\db\crc2.(0).cnf.hdb (906, 2008-10-15)
crc2\db\crc2.(1).cnf.cdb (861, 2008-10-14)
crc2\db\crc2.(1).cnf.hdb (615, 2008-10-14)
crc2\db\crc2.(2).cnf.cdb (1269, 2008-10-14)
... ...

近期下载者

相关文件


收藏者