shizhong

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:303KB
下载次数:6
上传日期:2009-04-08 21:56:01
上 传 者zzr
说明:  数字钟的VHDL源程序,可以实现校时,校分等功能,并在试验箱上运行成功
(The VHDL source code digital clock, you can achieve at school, school grade features, and success in the chamber is running on)

文件列表:
shizhong\decoder48.acf (15741, 2009-04-06)
shizhong\decoder48.cnf (31254, 2009-04-06)
shizhong\decoder48.fit (5862, 2009-04-06)
shizhong\decoder48.hex (44370, 2009-04-06)
shizhong\decoder48.hif (1654, 2009-04-06)
shizhong\decoder48.mmf (646, 2009-04-06)
shizhong\decoder48.ndb (7741, 2009-04-06)
shizhong\decoder48.pin (5798, 2009-04-06)
shizhong\decoder48.pof (130951, 2009-04-06)
shizhong\decoder48.rpt (24124, 2009-04-06)
shizhong\decoder48.snf (15946, 2009-04-06)
shizhong\decoder48.sof (19561, 2009-04-06)
shizhong\DECODER48.sym (176, 2009-04-06)
shizhong\decoder48.ttf (80279, 2009-04-06)
shizhong\decoder48.vhd (632, 2009-04-06)
shizhong\hour(1).cnf (10198, 2009-04-06)
shizhong\hour(2).cnf (5546, 2009-04-06)
shizhong\hour(3).cnf (2469, 2009-04-06)
shizhong\hour(4).cnf (1851, 2009-04-06)
shizhong\hour.acf (15739, 2009-04-06)
shizhong\hour.cnf (45721, 2009-04-06)
shizhong\hour.fit (6146, 2009-04-06)
shizhong\hour.hex (44370, 2009-04-06)
shizhong\hour.hif (3910, 2009-04-07)
shizhong\hour.mmf (932, 2009-04-06)
shizhong\hour.ndb (8637, 2009-04-06)
shizhong\hour.pin (5793, 2009-04-06)
shizhong\hour.pof (130946, 2009-04-06)
shizhong\hour.rpt (26815, 2009-04-06)
shizhong\hour.scf (3838, 2009-04-06)
shizhong\hour.snf (21470, 2009-04-06)
shizhong\hour.sof (19556, 2009-04-06)
shizhong\HOUR.sym (297, 2009-04-06)
shizhong\hour.ttf (80279, 2009-04-06)
shizhong\hour.vhd (693, 2009-04-06)
shizhong\LIB.DLS (625, 2009-04-06)
shizhong\minute(1).cnf (11197, 2009-04-06)
shizhong\minute(2).cnf (6428, 2009-04-06)
shizhong\minute(3).cnf (2675, 2009-04-06)
shizhong\minute(4).cnf (1851, 2009-04-06)
... ...

近期下载者

相关文件


收藏者