CardBusIP_v1.0

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1955KB
下载次数:31
上传日期:2009-04-11 17:57:20
上 传 者wawa66
说明:  VHDLVERILOG语言实现的CARDBUS的IP源码,已经实现现场应用
(CARDBUS IP CORE)

文件列表:
verilog (0, 2004-05-11)
verilog\afifoflg.sch (7342, 2002-10-17)
verilog\afifoflg.sym (394, 2002-10-17)
verilog\bus_chk.mem (20480, 2002-10-17)
verilog\byte_enable_test.tf (5569, 2002-10-17)
verilog\cardbus_5632.chp (2844842, 2004-04-08)
verilog\cardbus_5632.prj (1017, 2004-04-07)
verilog\CARDBUS_5632.qcf (1084, 2004-04-07)
verilog\CARDBUS_5632.qdf (651213, 2004-04-07)
verilog\CARDBUS_5632.rpt (6169, 2004-04-08)
verilog\CARDBUS_5632.sc (541, 2003-11-27)
verilog\cardbus_5632.sch (66156, 2004-04-07)
verilog\CARDBUS_5632.scp (192, 2004-04-07)
verilog\CARDBUS_5632.sdf (1811345, 2004-04-07)
verilog\cardbus_5632.tf (28934, 2004-04-08)
verilog\CARDBUS_5632.tre (204, 2004-04-07)
verilog\cardbus_5632.v (58369, 2004-04-07)
verilog\CARDBUS_5632.vh (1331, 2004-04-07)
verilog\CARDBUS_5632.vq (1046827, 2004-04-07)
verilog\cardbus_5632_modelsim.do (2307, 2004-02-05)
verilog\cardbus_5632_modelsim_post.do (1908, 2004-02-05)
verilog\cardbus_wrapper.sym (1400, 2004-04-07)
verilog\cardbus_wrapper.tf (31247, 2004-04-08)
verilog\cardbus_wrapper.v (23460, 2004-04-07)
verilog\cardbus_wrapper_test.tf (2990, 2003-11-28)
verilog\cfgtaddr_cardbus.sym (1104, 2004-04-07)
verilog\cfgtaddr_cardbus.v (15985, 2004-04-07)
verilog\cis_decode.sym (318, 2004-04-07)
verilog\CIS_decode.v (2718, 2004-04-07)
verilog\cmd_monitor.tf (8624, 2002-11-20)
verilog\ct_arbitration.tf (5475, 2002-10-17)
verilog\ct_burst_latency_timeout.tf (5189, 2002-10-17)
verilog\ct_burst_r_w.tf (9282, 2002-10-17)
verilog\ct_burst_r_w_ws.tf (4181, 2002-10-17)
verilog\ct_master.tf (49539, 2002-10-17)
verilog\ct_parity_error.tf (6393, 2002-10-17)
verilog\ct_single_r_w.tf (11542, 2002-10-17)
verilog\ct_target.tf (26997, 2003-11-28)
verilog\dcount16.v (6168, 2002-10-17)
verilog\dcount8.v (5855, 2002-10-17)
... ...

近期下载者

相关文件


收藏者