light

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:69KB
下载次数:2
上传日期:2009-04-25 15:01:46
上 传 者esther524
说明:  用VHDL语言实现交通灯的设计,并与硬件相连接.
(VHDL language with the design of traffic signals, and connected with the hardware.)

文件列表:
交通灯\交通灯.doc (146432, 2007-06-30)
交通灯\张艳-张小柳-惠懿-交通灯.rar (7105, 2007-06-19)
交通灯 (0, 2009-02-28)

近期下载者

相关文件


收藏者