stamp_seller
vhdl coins 

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:136
上传日期:2009-04-29 13:10:22
上 传 者shj011251
说明:   一个自动售邮票的控制电路。 用两个发光二极管分别模拟售出面值为六角和八角的邮票,购买者可以通过开关选择一种面值的邮票,灯亮时表示邮票售出。用开关分别模拟一角、五角和一元硬币投入。用发光二极管分别代表找回剩余的硬币。 要求:每次只能售出一枚邮票;当所投硬币达到或超过购买者所选面值时,售出一枚邮票,并找回剩余的硬币回到初始状态;当所投硬币值不足面值时,可以通过一个复位键退回所投硬币,回到初始状态。
(An automatic control circuit sell stamps. With two light-emitting diodes were simulated sold hexagonal and octagonal face value of the stamps, the purchaser can select a switch with a face value of stamps, stamp lights that were sold. Analog switch, respectively, of the iceberg, the Pentagon and one-dollar coins into. Representing the light-emitting diodes used to find the remaining coins. Requirements: only the sale of each stamp cast coins when reached or exceeded the face value of the selected buyer, the sale of a stamp and get the remaining coins back to the initial state when the vote with a face value of coins worth less than when can be reset through a vote by coin return button to return to the initial state.)

文件列表:
stamp_seller.v (3978, 2009-04-28)

近期下载者

相关文件


收藏者