ppm

所属分类:软件设计/软件工程
开发工具:VHDL
文件大小:1KB
下载次数:32
上传日期:2009-05-05 23:22:12
上 传 者hitpiano
说明:  ppm是通信类系统比较重要的前段部件,本设计只给出vhdl的代码实现,并给出测试。
(ppm decoder)

文件列表:
ppm_de.txt (2176, 2008-12-17)
ppm_de_tb.txt (1205, 2008-12-17)

近期下载者

相关文件


收藏者