qujianqi

所属分类:压缩解压
开发工具:VHDL
文件大小:381KB
下载次数:1
上传日期:2009-05-08 01:23:52
上 传 者dada36
说明:  EDA 全减器 包括半减器
(EDA-wide reduction device includes half)

文件列表:
全减器\h_suber.qpf (903, 2009-03-31)
全减器\h_suber.qsf (1617, 2009-03-31)
全减器\db\h_suber.db_info (136, 2009-03-31)
全减器\db\h_suber.cbx.xml (89, 2009-03-31)
全减器\db\h_suber.hif (573, 2009-03-31)
全减器\db\h_suber.(0).cnf.cdb (539, 2009-03-31)
全减器\db\h_suber.(0).cnf.hdb (341, 2009-03-31)
全减器\db\h_suber.hier_info (166, 2009-03-31)
全减器\db\h_suber.rtlv_sg.cdb (563, 2009-03-31)
全减器\db\h_suber.rtlv.hdb (4806, 2009-03-31)
全减器\db\h_suber.rtlv_sg_swap.cdb (158, 2009-03-31)
全减器\db\h_suber.pre_map.hdb (4809, 2009-03-31)
全减器\db\h_suber.pre_map.cdb (603, 2009-03-31)
全减器\db\h_suber.psp (0, 2009-03-31)
全减器\db\h_suber.dbp (0, 2009-03-31)
全减器\db\h_suber.map.logdb (4, 2009-03-31)
全减器\db\h_suber.sgdiff.cdb (546, 2009-03-31)
全减器\db\h_suber.sgdiff.hdb (4811, 2009-03-31)
全减器\db\h_suber.sld_design_entry_dsc.sci (134, 2009-03-31)
全减器\db\h_suber.syn_hier_info (0, 2009-03-31)
全减器\db\h_suber.map.cdb (831, 2009-03-31)
全减器\db\h_suber.map.hdb (4556, 2009-03-31)
全减器\db\h_suber.fit.qmsg (16668, 2009-03-31)
全减器\db\h_suber.cmp.logdb (4, 2009-03-31)
全减器\db\h_suber.cmp.kpt (207, 2009-03-31)
全减器\db\h_suber.asm.qmsg (1727, 2009-03-31)
全减器\db\h_suber.tan.qmsg (4036, 2009-03-31)
全减器\db\h_suber.cmp.tdb (933, 2009-03-31)
全减器\db\h_suber.cmp0.ddb (36683, 2009-03-31)
全减器\db\h_suber.cmp.cdb (1522, 2009-03-31)
全减器\db\h_suber.signalprobe.cdb (876, 2009-03-31)
全减器\db\h_suber.cmp.hdb (4649, 2009-03-31)
全减器\db\h_suber.cmp.rdb (17663, 2009-03-31)
全减器\db\h_suber.map.qmsg (1562, 2009-03-31)
全减器\db\h_suber.sld_design_entry.sci (134, 2009-03-31)
全减器\db\h_suber.eco.cdb (141, 2009-03-31)
全减器\db\f_suber.db_info (136, 2009-03-31)
全减器\db\f_suber.cbx.xml (89, 2009-03-31)
全减器\db\f_suber.hif (877, 2009-03-31)
全减器\db\f_suber.(1).cnf.cdb (542, 2009-03-31)
... ...

近期下载者

相关文件


收藏者