CCD

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:147KB
下载次数:171
上传日期:2009-05-11 19:43:51
上 传 者lkh
说明:  用TCD1501D驱动器产生CCD驱动的6个输出信号RS、CP、SP、SH以及Φ1、Φ2脉冲
(Produced by CCD drive TCD1501D driven six output signal RS, CP, SP, SH, and Φ1, Φ2 pulse)

文件列表:
LIB.DLS (511, 2009-04-22)
U0714194.DLS (972, 2009-04-17)
U1044480.DLS (1194, 2009-04-17)
U1227236.DLS (3275, 2009-04-17)
U2420369.DLS (846, 2009-04-16)
U3330486.DLS (1778, 2009-04-17)
U3438275.DLS (1012, 2009-04-17)
U5552897.DLS (15112, 2009-04-21)
U6071237.DLS (3339, 2009-04-16)
U6584051.DLS (1096, 2009-04-21)
U6889666.DLS (3123, 2009-04-21)
U7200610.DLS (13389, 2009-04-16)
U7484794.DLS (4850, 2009-04-22)
U7502096.DLS (977, 2009-04-22)
U7624998.DLS (1686, 2009-04-22)
U8831480.DLS (6297, 2009-04-17)
U9350016.DLS (1382, 2009-04-16)
cpm.fit (2028, 2009-04-22)
fpq.fit (2157, 2009-04-22)
rsm.fit (2157, 2009-04-22)
shm.fit (6237, 2009-04-22)
ccd.gdf (2699, 2009-04-22)
ccd.hif (1631, 2009-04-22)
cpm.hif (1630, 2009-04-22)
fpq.hif (5875, 2009-04-22)
rsm.hif (3590, 2009-04-22)
rsmm.hif (1570, 2009-04-17)
shm.hif (4478, 2009-04-22)
FPQ.inc (1521, 2009-04-22)
ccd.acf (14721, 2009-04-22)
cpm.acf (15679, 2009-04-22)
fpq.acf (15681, 2009-04-22)
rsm.acf (15679, 2009-04-22)
rsmm.acf (15681, 2009-04-16)
shm.acf (15681, 2009-04-22)
cpm.mmf (236, 2009-04-22)
fpq.mmf (236, 2009-04-22)
rsm.mmf (236, 2009-04-22)
rsmm.mmf (2976, 2009-04-16)
shm.mmf (236, 2009-04-22)
... ...

近期下载者

相关文件


收藏者