SPWM

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:7KB
下载次数:406
上传日期:2009-05-19 10:59:09
上 传 者红色闪电001
说明:  VHDL采用自然采样法写的SPWM,里面有正弦表,可以通过外接输入正弦波和三角波的频率。
(VHDL using written natural sampling SPWM, there are sine table, you can enter through the external sinusoidal and triangular wave frequency.)

文件列表:
SPWM\COMP.vhd (2211, 2009-05-12)
SPWM\SANJIAOBO.vhd (2588, 2009-05-18)
SPWM\SPWM.vhd (2979, 2009-05-18)
SPWM\spwm_tb.vhd (2501, 2009-05-18)
SPWM\ZXIANBO.vhd (28639, 2009-05-18)
SPWM (0, 2009-05-19)

近期下载者

相关文件


收藏者