fir

所属分类:通讯编程
开发工具:VHDL
文件大小:1KB
下载次数:8
上传日期:2009-05-21 14:29:52
上 传 者yemin0707
说明:  用verilog实现fir滤波器,实现了一个8阶的fir滤波器
(design the fir filter use verilog lanuage)

文件列表:
fir\新建文件夹\coef_5tap_ai.txt (90, 2008-08-02)
fir\新建文件夹\coef_5tap_aq.txt (90, 2008-08-02)
fir\新建文件夹\coef_5tap_bi.txt (90, 2008-08-02)
fir\新建文件夹\coef_5tap_bq.txt (90, 2008-08-02)
fir\新建文件夹 (0, 2009-05-14)
fir (0, 2009-05-14)

近期下载者

相关文件


收藏者