DS18b20

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:2KB
下载次数:76
上传日期:2009-05-24 15:15:39
上 传 者善良的人类
说明:  这是一个工业用的普通温度传感器DS18b20的VHDL文件,直接可用,可为FPGA的其他逻辑模块或者Nios提供接口,其输出为18b20的11位温度暂存器的值。
(This is a common logic module for DS18b20 which can provides parallel outputs for Nios II or other internal units of FPGA.)

文件列表:
DS18b20.vhd (10201, 2009-05-16)

近期下载者

相关文件


收藏者