par2ser

所属分类:其他
开发工具:VHDL
文件大小:1KB
下载次数:132
上传日期:2009-05-27 16:26:40
上 传 者juna1314
说明:  并/串转换器即并行输入、串行输出转换器,例如一个8bit输入的并/串转换器,输出时钟频率是输入时钟频率的8倍,输入端一个时钟到来,8个输入端口同时输入数据;输出端以8倍的速度将并行输入的8bit串行输出,至于从高位输出还是从低位输出,可以再程序中指定。
(And/or parallel series converter input, serial output converter, for example, a 8bit input and/series converter, the output clock frequency is the input clock frequency of 8 times, the arrival of a clock input, 8 input data input port at the same time output to 8 times the speed of 8bit parallel input serial output, as output from a high level or low output, the procedure can be specified.)

文件列表:
par2ser.txt (3352, 2009-05-25)

近期下载者

相关文件


收藏者