liushuideng

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1727KB
下载次数:66
上传日期:2009-06-19 12:58:38
上 传 者woai161161
说明:  流水灯程序,1.在CH-3实验平台上通过LED0~LED7八位LED发光二极管实现流水灯显示,流水效果为LED灯依次亮起,第二个灯亮时第一个熄灭2.用按键切换产生2种流水效果
(Process water lights, 1. CH-3 in the experimental platform LED0 ~ LED7 through eight light-emitting diode LED lights show the achievement of water, running water followed by the effect of LED lights turn on, and the second when the lights go out the first 2. Key switch with two kinds of water have the effect of)

文件列表:
流水灯(亮).txt (1033, 2009-06-18)
EDA技术与VerilogL实验教程.ppt (1873408, 2009-06-11)

近期下载者

相关文件


收藏者