PS2_IP_CORE

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:26KB
下载次数:7
上传日期:2009-06-22 22:49:26
上 传 者reservior
说明:  该IP核是一个ps2键盘的源代码(vhdl语言)
(The IP core is a ps2 keyboard source code (vhdl language))

文件列表:
PS2 IP CORE\cb_generator.pl (31230, 2006-06-13)
PS2 IP CORE\class.ptf (33141, 2006-06-16)
PS2 IP CORE\hdl\freedev_ps2.v (3195, 2006-06-13)
PS2 IP CORE\hdl\ps2_keyboard.v (25654, 2006-06-12)
PS2 IP CORE\hdl\ps2_keyboard_interface.v (25654, 2006-06-12)
PS2 IP CORE\hdl\transcript (445, 2008-02-17)
PS2 IP CORE\hdl (0, 2009-06-22)
PS2 IP CORE (0, 2009-06-22)

近期下载者

相关文件


收藏者