C20_sram_vga

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:10150KB
下载次数:234
上传日期:2009-06-24 13:12:37
上 传 者arens_lee
说明:  VGA的FPGA试验工程代码。学习vga的可赶紧下!!!!!!!!!!!
(VGA demo)

文件列表:
C20_sram_vga\.sopc_builder\install.ptf (9745, 2007-05-24)
C20_sram_vga\altpll0.bsf (3460, 2007-04-25)
C20_sram_vga\altpll0.v (10151, 2007-04-25)
C20_sram_vga\altpll0_bb.v (8596, 2007-04-25)
C20_sram_vga\altpll0_wave0.jpg (61367, 2007-04-25)
C20_sram_vga\altpll0_waveforms.html (616, 2007-04-25)
C20_sram_vga\C20_sram_vga.asm.rpt (7222, 2007-05-24)
C20_sram_vga\C20_sram_vga.bdf (29435, 2007-04-25)
C20_sram_vga\C20_sram_vga.done (26, 2007-05-24)
C20_sram_vga\C20_sram_vga.fit.eqn (1655582, 2007-05-24)
C20_sram_vga\C20_sram_vga.fit.rpt (579700, 2007-05-24)
C20_sram_vga\C20_sram_vga.fit.summary (516, 2007-05-24)
C20_sram_vga\C20_sram_vga.flow.rpt (4109, 2007-05-24)
C20_sram_vga\C20_sram_vga.map.eqn (1442670, 2007-05-24)
C20_sram_vga\C20_sram_vga.map.rpt (458150, 2007-05-24)
C20_sram_vga\C20_sram_vga.map.summary (414, 2007-05-24)
C20_sram_vga\C20_sram_vga.pin (57437, 2007-05-24)
C20_sram_vga\C20_sram_vga.pof (524489, 2007-05-24)
C20_sram_vga\C20_sram_vga.qpf (908, 2007-04-17)
C20_sram_vga\C20_sram_vga.qsf (10914, 2007-04-22)
C20_sram_vga\C20_sram_vga.qws (2030, 2007-05-24)
C20_sram_vga\C20_sram_vga.sof (475756, 2007-05-24)
C20_sram_vga\C20_sram_vga.tan.rpt (699809, 2007-05-24)
C20_sram_vga\C20_sram_vga.tan.summary (3354, 2007-05-24)
C20_sram_vga\cpu_0.ocp (840, 2007-04-22)
C20_sram_vga\cpu_0.v (283832, 2007-04-22)
C20_sram_vga\cpu_0_jtag_debug_module.v (11362, 2007-04-22)
C20_sram_vga\cpu_0_jtag_debug_module_wrapper.v (9543, 2007-04-22)
C20_sram_vga\cpu_0_ociram_default_contents.mif (5878, 2007-04-22)
C20_sram_vga\cpu_0_test_bench.v (36014, 2007-04-22)
C20_sram_vga\db\add_sub_c7c.tdf (3533, 2007-04-17)
C20_sram_vga\db\altsyncram_45s.tdf (2638, 2007-04-17)
C20_sram_vga\db\altsyncram_f9c1.tdf (40548, 2007-04-17)
C20_sram_vga\db\altsyncram_p071.tdf (27564, 2007-04-17)
C20_sram_vga\db\altsyncram_p381.tdf (14106, 2007-04-17)
C20_sram_vga\db\altsyncram_s202.tdf (45487, 2007-04-17)
C20_sram_vga\db\altsyncram_sr41.tdf (2615, 2007-04-17)
C20_sram_vga\db\altsyncram_toc1.tdf (12634, 2007-04-17)
C20_sram_vga\db\altsyncram_u2p1.tdf (44773, 2007-04-17)
C20_sram_vga\db\altsyncram_um61.tdf (39600, 2007-04-17)
... ...

Readme - Hello World Software Example DESCRIPTION: Simple program that prints "Hello from Nios II" REQUIREMENTS: This example will run on the following Nios II designs, targeting the Nios Stratix & Cyclone development boards: - Standard - Full Featured - Fast - Low Cost The memory footprint of this hosted application is ~69 kbytes by default using the standard reference deisgn. For a reduced footprint version of this template, and an explanation of how to reduce the memory footprint for a given application, see the "small_hello_world" template. PERIPHERALS USED: This example exercises the following peripherals: - STDOUT device (UART or JTAG UART) SOFTWARE SOURCE FILES: This example includes the following software source files: - hello_world.c: Everyone needs a Hello World program, right? BOARD/HOST REQUIREMENTS: This example requires only a JTAG connection with a Nios Development board. If the host communication settings are changed from JTAG UART (default) to use a conventional UART, a serial cable between board DB-9 connector and the host is required.

近期下载者

相关文件


收藏者