Sequence-detector-design

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:30KB
下载次数:16
上传日期:2009-06-28 17:33:40
上 传 者engls3400
说明:  序列检测器设计的思路大多都是用FSM来实现的,此思路是通过移位寄存器来实现序列检测
(Sequence detector design ideas are often used to achieve the FSM, the idea is to achieve through the shift register sequence detection)

文件列表:
序列检测器的设计.doc (56320, 2007-09-26)

近期下载者

相关文件


收藏者