RAM

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:9KB
下载次数:287
上传日期:2009-07-05 16:50:00
上 传 者woai161161
说明:  用VHDL编写一个字长16位,容量128B的RAM控制实现程序,并进行设计综合和功能模拟 。含源程序,及实验要求。适合初学者学习使用。
(VHDL prepared with a 16-bit word length, 128B of the RAM capacity to achieve process control and design of analog integrated and functional. Containing source code, and experimental requirements. Suitable for beginners learning to use.)

文件列表:
ram.txt (752, 2009-06-10)
半导体存储器RAM.ppt (45056, 2009-06-10)

近期下载者

相关文件


收藏者