1204pointsFFT

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:27KB
下载次数:32
上传日期:2009-07-29 23:34:46
上 传 者桂林Q
说明:  1024点FFT VHDL实现,含有说明部分,自己好好理解,可自行修改
(1024 point FFT VHDL realization that contain part of a good understanding of their own, they are free to modify)

文件列表:
FFT\FFT\and_gates.vhd (1003, 2001-03-20)
FFT\FFT\baseindex.vhd (1998, 2001-03-20)
FFT\FFT\but.vhd (761, 2001-03-20)
FFT\FFT\butter_lib.vhd (3271, 2001-03-20)
FFT\FFT\comm.txt (12, 2001-03-17)
FFT\FFT\control2.vhd (2965, 2001-03-17)
FFT\FFT\controller.vhd (3389, 2001-03-20)
FFT\FFT\counter.vhd (814, 2001-03-20)
FFT\FFT\cycles_but.vhd (1388, 2001-03-20)
FFT\FFT\dff.vhd (627, 2001-03-20)
FFT\FFT\divide.vhd (1222, 2001-03-20)
FFT\FFT\FLOAT2.PIF (967, 2001-03-17)
FFT\FFT\FLOAT_RE.TXT (370, 2001-03-21)
FFT\FFT\IEEE_TO_.PIF (967, 2001-03-17)
FFT\FFT\ioadd.vhd (1012, 2001-03-20)
FFT\FFT\iod_staged.vhd (1201, 2001-03-20)
FFT\FFT\lblock.vhd (523, 2001-03-20)
FFT\FFT\mult.vhd (832, 2001-03-20)
FFT\FFT\multiply.vhd (2192, 2001-03-20)
FFT\FFT\mux_add.vhd (571, 2001-03-20)
FFT\FFT\mux_but.vhd (585, 2001-03-20)
FFT\FFT\negate.vhd (823, 2001-03-20)
FFT\FFT\normalize.vhd (2139, 2001-03-20)
FFT\FFT\out_result.vhd (624, 2001-03-20)
FFT\FFT\print.vhd (1233, 2001-03-20)
FFT\FFT\ram.vhd (1236, 2001-03-20)
FFT\FFT\ram_shift.vhd (798, 2001-03-20)
FFT\FFT\rblock.vhd (541, 2001-03-20)
FFT\FFT\result.txt (544, 2001-03-17)
FFT\FFT\rom.vhd (1162, 2001-03-20)
FFT\FFT\romadd_gen.vhd (1867, 2001-03-20)
FFT\FFT\rom_ram.vhd (552, 2001-03-17)
FFT\FFT\shift2.vhd (1397, 2001-03-20)
FFT\FFT\simili.lst (90727, 2001-03-17)
FFT\FFT\stage.vhd (663, 2001-03-20)
FFT\FFT\subtractor.vhd (2189, 2001-03-20)
FFT\FFT\summer.vhd (1259, 2001-03-20)
FFT\FFT\swap.vhd (1874, 2001-03-20)
FFT\FFT\synth_main.vhd (12063, 2001-03-20)
FFT\FFT\synth_test.vhd (13417, 2001-03-20)
... ...

近期下载者

相关文件


收藏者