serial

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:13
上传日期:2009-08-09 15:25:51
上 传 者rainsy
说明:  该程序用vhdl 编写,模拟串口工作,对上位机发送数据在串口调试工具下显示,接受上位机数据在数码管上显示
(Vhdl prepared to use the program to simulate the serial port work, send data to the PC serial port debug tools in the next showed that IPC data in digital tube display)

文件列表:
serial.vhd (14960, 2009-07-28)

近期下载者

相关文件


收藏者