VHDLprogram

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1107KB
下载次数:47
上传日期:2009-08-15 21:29:12
上 传 者longanwen1981
说明:  VHDL的程序包,包括LED控制,LCD控制、DAC0832接口电路、URAT、FSK\PSK\MASK调制、波形发生器等。适合工程参考
(VHDL package, including the LED control, LCD control, DAC0832 Interface Circuit, URAT, FSK \ PSK \ MASK modulation, such as waveform generator. Reference for the project)

文件列表:
8.24 步进电机定位控制系统VHDL程序与仿真.doc (31232, 2004-04-13)
8.2 LED控制VHDL程序与仿真 2004.8修改.doc (34304, 2010-07-28)
8.2 LED控制VHDL程序与仿真.doc (34304, 2010-07-28)
8.3 LCD控制VHDL程序与仿真 2004.8修改.doc (32768, 2010-07-28)
8.3 LCD控制VHDL程序与仿真.doc (26624, 2004-04-09)
8.4 ADC0809 VHDL控制程序.doc (24576, 2010-03-28)
8.5 TLC5510 VHDL控制程序.doc (21504, 2010-03-28)
8.6 DAC0832 接口电路程序.doc (21504, 2010-03-28)
8.7 TLC7524接口电路程序.doc (23552, 2010-03-28)
8.8 URAT VHDL程序与仿真.doc (88064, 2010-03-28)
8.9 ASK调制与解调VHDL程序及仿真.doc (68608, 2010-03-28)
8.10 FSK调制与解调VHDL程序及仿真.doc (87552, 2010-03-28)
8.11 PSK调制与解调VHDL程序及仿真.doc (115712, 2010-03-28)
8.12 MASK调制VHDL程序及仿真.doc (60928, 2010-03-28)
8.13 MFSK调制VHDL程序及仿真.doc (92160, 2010-04-15)
8.14 MPSK调制与解调VHDL程序与仿真.doc (125952, 2010-03-28)
8.15 基带码发生器程序设计与仿真.doc (79872, 2010-04-15)
8.16 频率计程序设计与仿真.doc (150016, 2010-04-15)
8.17 采用等精度测频原理的频率计程序与仿真.doc (176128, 2010-04-28)
8.18 电子琴程序设计与仿真 2004.8修改.doc (109056, 2010-07-28)
8.18 电子琴程序设计与仿真.doc (108032, 2010-04-15)
8.19 电梯控制器程序设计与仿真.doc (258048, 2010-04-15)
8.20 电子时钟VHDL程序与仿真.doc (117760, 2004-04-12)
8.22 出租车计价器VHDL程序与仿真 2004.8修改.doc (60928, 2010-07-28)
8.22 出租车计价器VHDL程序与仿真.doc (141312, 2010-04-15)
8.23 波形发生程序.doc (61952, 2010-03-29)

近期下载者

相关文件


收藏者