cordic

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:2KB
下载次数:71
上传日期:2009-08-18 16:55:58
上 传 者zzhgf27
说明:  基于cordic算法的正余弦信号发生器,通过编译仿真
(Cordic algorithm is based on the cosine signal generator, through the compiled simulation)

文件列表:
cordic.vhd (13870, 2005-03-29)

近期下载者

相关文件


收藏者