f_divider

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:445KB
下载次数:5
上传日期:2009-08-19 21:00:14
上 传 者abu_faisul
说明:  16-bit frequency divider (32 MHz,16,8,...) based on altera fpga.

文件列表:
f_divider (0, 2009-07-22)
f_divider\cmp_state.ini (2, 2009-03-15)
f_divider\counter_15.vhd (1045, 2008-11-23)
f_divider\frequency_divider.vhd (1386, 2009-03-08)
f_divider\f_div.rbf (166965, 2009-03-10)
f_divider\f_div1.rbf (166965, 2009-03-15)
f_divider\f_divider.asm.rpt (8605, 2009-04-05)
f_divider\f_divider.done (26, 2009-04-05)
f_divider\f_divider.fit.eqn (33013, 2009-03-15)
f_divider\f_divider.fit.rpt (58911, 2009-04-05)
f_divider\f_divider.fit.summary (375, 2009-04-05)
f_divider\f_divider.flow.rpt (6170, 2009-04-05)
f_divider\f_divider.map.eqn (30580, 2009-03-15)
f_divider\f_divider.map.rpt (46744, 2009-04-05)
f_divider\f_divider.map.summary (294, 2009-04-05)
f_divider\f_divider.pin (25630, 2009-04-05)
f_divider\f_divider.pof (212108, 2009-04-05)
f_divider\f_divider.qpf (1568, 2009-03-15)
f_divider\f_divider.qsf (21232, 2009-04-05)
f_divider\f_divider.qws (582, 2009-04-05)
f_divider\f_divider.sim.rpt (5695, 2009-03-08)
f_divider\f_divider.sof (164200, 2009-04-05)
f_divider\f_divider.tan.rpt (123425, 2009-04-05)
f_divider\f_divider.tan.summary (1834, 2009-04-05)
f_divider\f_divider.vhd (2020, 2009-03-15)
f_divider\f_divider.vwf (7775, 2009-03-08)
f_divider\f_divider_assignment_defaults.qdf (40233, 2009-04-05)
f_divider\kb_encoder.vhd (4166, 2005-04-14)
f_divider\mux_16_1.vhd (653, 2008-12-04)
f_divider\sim.cfg (5, 2009-03-08)
f_divider\incremental_db (0, 2009-07-22)
f_divider\incremental_db\compiled_partitions (0, 2009-07-22)
f_divider\incremental_db\compiled_partitions\f_divider.root_partition.map.kpt (343, 2009-04-05)
f_divider\db (0, 2009-07-22)
f_divider\db\add_sub_0bh.tdf (3076, 2009-03-08)
f_divider\db\add_sub_4bh.tdf (3884, 2009-03-08)
f_divider\db\add_sub_7nh.tdf (4404, 2009-04-05)
f_divider\db\add_sub_jch.tdf (5593, 2009-03-08)
f_divider\db\add_sub_vah.tdf (2874, 2009-03-08)
... ...

近期下载者

相关文件


收藏者