FPGAcontrolDM9000AuseVerilog

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:4KB
下载次数:71
上传日期:2009-08-30 21:48:59
上 传 者华子昂
说明:  verilog控制以太网发送程序的实现,用于控制以太网发送
(verilog control program for sending Ethernet implementation, used to control the Ethernet to send)

文件列表:
FPGAcontrolDM9000AuseVerilog.txt (7967, 2009-08-29)

近期下载者

相关文件


收藏者