DAC0832

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:11
上传日期:2009-09-02 19:27:17
上 传 者braveqq
说明:   DAC0832 接口电路程序,产生频率为762.9Hz的锯齿波
(DAC0832 interface circuit process, resulting in the sawtooth frequency of 762.9Hz)

文件列表:
DAC0832.doc (21504, 2010-03-28)

近期下载者

相关文件


收藏者