VHDLGuideAndCode

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:17336KB
下载次数:13
上传日期:2009-09-05 09:51:00
上 传 者xitpp
说明:  该教程比较详细的介绍了VHDL语言,对其语法的使用,编程中的技巧由浅到深的进行介绍,并且给出了90个VHDL源代码,其中包括测试程序、各功能测试代码等。由于文档为pdg格式,在PDG Reader文件夹中给出该阅读器。
(The tutorial more detailed introduction to the VHDL language, its syntax, the use of programming techniques from shallow to deep, are introduced, and gives 90 VHDL source code, including test procedures, the functional test code. As the document is a pdg format, PDG Reader folder, given to the reader.)

文件列表:
VHDL\VHDL实用教程\000001.pdg (69772, 2003-07-19)
VHDL\VHDL实用教程\000002.pdg (94348, 2003-07-19)
VHDL\VHDL实用教程\000003.pdg (77964, 2003-07-19)
VHDL\VHDL实用教程\000004.pdg (86156, 2003-07-19)
VHDL\VHDL实用教程\000005.pdg (77964, 2003-07-19)
VHDL\VHDL实用教程\000006.pdg (85210, 2003-07-19)
VHDL\VHDL实用教程\000007.pdg (91276, 2003-07-19)
VHDL\VHDL实用教程\000008.pdg (89228, 2003-07-19)
VHDL\VHDL实用教程\000009.pdg (76940, 2003-07-19)
VHDL\VHDL实用教程\000010.pdg (83084, 2003-07-19)
VHDL\VHDL实用教程\000011.pdg (83084, 2003-07-19)
VHDL\VHDL实用教程\000012.pdg (30860, 2003-07-19)
VHDL\VHDL实用教程\000013.pdg (60508, 2003-07-19)
VHDL\VHDL实用教程\000014.pdg (42124, 2003-07-19)
VHDL\VHDL实用教程\000015.pdg (47244, 2003-07-19)
VHDL\VHDL实用教程\000016.pdg (30860, 2003-07-19)
VHDL\VHDL实用教程\000017.pdg (55436, 2003-07-19)
VHDL\VHDL实用教程\000018.pdg (39052, 2003-07-19)
VHDL\VHDL实用教程\000019.pdg (62604, 2003-07-19)
VHDL\VHDL实用教程\000020.pdg (35672, 2003-07-19)
VHDL\VHDL实用教程\000021.pdg (59532, 2003-07-19)
VHDL\VHDL实用教程\000022.pdg (48268, 2003-07-19)
VHDL\VHDL实用教程\000023.pdg (55436, 2003-07-19)
VHDL\VHDL实用教程\000024.pdg (61580, 2003-07-19)
VHDL\VHDL实用教程\000025.pdg (41100, 2003-07-19)
VHDL\VHDL实用教程\000026.pdg (45196, 2003-07-19)
VHDL\VHDL实用教程\000027.pdg (44172, 2003-07-19)
VHDL\VHDL实用教程\000028.pdg (27788, 2003-07-19)
VHDL\VHDL实用教程\000029.pdg (57484, 2003-07-19)
VHDL\VHDL实用教程\000030.pdg (65676, 2003-07-19)
VHDL\VHDL实用教程\000031.pdg (35932, 2003-07-19)
VHDL\VHDL实用教程\000032.pdg (70796, 2003-07-19)
VHDL\VHDL实用教程\000033.pdg (62604, 2003-07-19)
VHDL\VHDL实用教程\000034.pdg (38028, 2003-07-19)
VHDL\VHDL实用教程\000035.pdg (43148, 2003-07-19)
VHDL\VHDL实用教程\000036.pdg (42124, 2003-07-19)
VHDL\VHDL实用教程\000037.pdg (58508, 2003-07-19)
VHDL\VHDL实用教程\000038.pdg (38028, 2003-07-19)
VHDL\VHDL实用教程\000039.pdg (63628, 2003-07-19)
VHDL\VHDL实用教程\000040.pdg (62604, 2003-07-19)
... ...

该教程比较详细的介绍了VHDL语言,对其语法的使用,编程中的技巧由浅到深的进行介绍,并且给出了90个VHDL源代码,其中包括测试程序、各功能测试代码等。由于文档为pdg格式,在PDG Reader文件夹中给出该阅读器。

近期下载者

相关文件


收藏者