2fsk

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:18KB
下载次数:158
上传日期:2009-09-05 14:19:34
上 传 者莱茵夏
说明:  对信号实现2FSK调制,2FSK就是用数字信号去调制载波的频率(移频键控),是信息传输中使用得较早的一种调制方式。它的主要优点是:实现起来较容易;抗噪声与抗衰减的性能较好;在中低速数据传输中得到广泛的应用。
(the performance of 2FSK based on verilog)

文件列表:
2fsk\clk_32.v (426, 2008-12-14)
2fsk\fre_control.v (269, 2008-12-05)
2fsk\m_generator.v (339, 2009-07-14)
2fsk\pn.v (1385, 2008-12-05)
2fsk\pn_map.v (58981, 2008-12-15)
2fsk\pn_timesim.v (59549, 2008-12-15)
2fsk\pn_translate.v (35750, 2008-12-15)
2fsk\reg6.v (334, 2008-12-05)
2fsk\reg8.v (333, 2008-12-04)
2fsk\rom.v (3368, 2008-12-19)
2fsk\sum64.v (386, 2008-12-05)
2fsk\tm_generator.v.v (418, 2009-07-14)
2fsk (0, 2009-09-05)

近期下载者

相关文件


收藏者