Speaker

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:337KB
下载次数:5
上传日期:2009-09-29 15:32:57
上 传 者jakk
说明:  基于CPLD的发音电路,由蜂鸣器产生发音
(CPLD-based pronunciation circuit generated by the buzzer sounds)

文件列表:
Speaker\Block1.bdf (4623, 2007-03-07)
Speaker\block1.qpf (903, 2007-02-01)
Speaker\block1.qws (440, 2009-09-28)
Speaker\clockdiv4.vhd (714, 2007-02-01)
Speaker\clockdiv6.vhd (708, 1987-10-18)
Speaker\db\song.(0).cnf.cdb (712, 2008-06-26)
Speaker\db\song.(0).cnf.hdb (724, 2008-06-26)
Speaker\db\song.(1).cnf.cdb (9614, 2008-06-26)
Speaker\db\song.(1).cnf.hdb (2039, 2008-06-26)
Speaker\db\song.(2).cnf.cdb (1112, 2008-06-26)
Speaker\db\song.(2).cnf.hdb (527, 2008-06-26)
Speaker\db\song.(3).cnf.cdb (2158, 2008-06-26)
Speaker\db\song.(3).cnf.hdb (722, 2008-06-26)
Speaker\db\song.asm.qmsg (2047, 2008-06-26)
Speaker\db\song.asm_labs.ddb (27452, 2008-06-26)
Speaker\db\song.cbx.xml (86, 2008-06-26)
Speaker\db\song.cmp.cdb (38859, 2008-06-26)
Speaker\db\song.cmp.hdb (10987, 2008-06-26)
Speaker\db\song.cmp.logdb (4, 2008-06-26)
Speaker\db\song.cmp.rdb (15737, 2008-06-26)
Speaker\db\song.cmp.tdb (31991, 2008-06-26)
Speaker\db\song.cmp0.ddb (64503, 2008-06-26)
Speaker\db\song.dbp (0, 2008-06-26)
Speaker\db\song.db_info (152, 2008-06-17)
Speaker\db\song.eco.cdb (176, 2009-09-28)
Speaker\db\song.fit.qmsg (15043, 2008-06-26)
Speaker\db\song.hier_info (2504, 2008-06-26)
Speaker\db\song.hif (2106, 2008-06-26)
Speaker\db\song.map.cdb (11435, 2008-06-26)
Speaker\db\song.map.hdb (10437, 2008-06-26)
Speaker\db\song.map.logdb (4, 2008-06-26)
Speaker\db\song.map.qmsg (9205, 2008-06-26)
Speaker\db\song.pre_map.cdb (11322, 2008-06-26)
Speaker\db\song.pre_map.hdb (8785, 2008-06-26)
Speaker\db\song.psp (0, 2008-06-26)
Speaker\db\song.pss (0, 2008-06-26)
Speaker\db\song.rtlv.hdb (8741, 2008-06-26)
Speaker\db\song.rtlv_sg.cdb (11481, 2008-06-26)
Speaker\db\song.rtlv_sg_swap.cdb (563, 2008-06-26)
Speaker\db\song.sgdiff.cdb (9819, 2008-06-26)
... ...

近期下载者

相关文件


收藏者