clock

所属分类:Windows编程
开发工具:VHDL
文件大小:76KB
下载次数:2
上传日期:2009-10-08 10:13:15
上 传 者uestcgaopeng
说明:  实现一个能显示小时、分钟、秒的多功能时钟,具有整点报时、闹钟、手动校时功能。
(To achieve a can display hours, minutes, seconds, multi-functional clock, with the whole point timekeeping, alarm clock, manual, when the school functions.)

文件列表:
clock\clock.qpf (905, 2009-06-08)
clock\clock.qsf (3972, 2009-06-22)
clock\clock.map.summary (457, 2009-06-08)
clock\clock.done (26, 2009-06-08)
clock\clock.bsf (4128, 2009-06-08)
clock\div_1k.bsf (1801, 2009-06-08)
clock\div_4hz.bsf (1805, 2009-06-08)
clock\scan_led.bsf (2976, 2009-06-08)
clock\clock1.bdf (14095, 2009-06-08)
clock\clock.pin (20314, 2009-06-08)
clock\clock.fit.smsg (513, 2009-06-08)
clock\clock.fit.summary (593, 2009-06-08)
clock\clock.sof (151050, 2009-06-08)
clock\clock.pof (131258, 2009-06-08)
clock\clock.tan.summary (3126, 2009-06-08)
clock\clock.map.smsg (383, 2009-06-08)
clock\clock.map.rpt (41014, 2009-06-08)
clock\clock.fit.rpt (101271, 2009-06-08)
clock\clock.asm.rpt (6864, 2009-06-08)
clock\clock.tan.rpt (208532, 2009-06-08)
clock\clock.flow.rpt (7599, 2009-06-08)
clock\db\clock.db_info (137, 2009-06-22)
clock\db\clock.sld_design_entry.sci (154, 2009-06-22)
clock\db\clock.eco.cdb (161, 2009-06-22)
clock\clock.v.bak (4621, 2009-06-08)
clock\clock.v (5577, 2009-06-22)
clock\div_1k.v.bak (2281, 2009-06-08)
clock\div_1k.v (3237, 2009-06-22)
clock\div_4hz.v.bak (2285, 2009-06-08)
clock\div_4hz.v (3241, 2009-06-22)
clock\scan_led.v.bak (1530, 2009-06-08)
clock\scan_led.v (2486, 2009-06-22)
clock\db (0, 2009-06-22)
clock (0, 2009-06-22)

近期下载者

相关文件


收藏者