h

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:286KB
下载次数:57
上传日期:2009-10-30 18:47:00
上 传 者africanz
说明:  huffman编码的vhdl语言实现 课程设计做的 有点用的
(huffman coding vhdl language curriculum design to achieve a little bit to do with the)

文件列表:
hcoder.vhd (5257, 2002-12-19)
counter5.vhd (756, 2002-12-19)
countertest.vhd (650, 2002-12-19)
dcr (47821, 2002-12-19)
function.vhd (870, 2002-12-19)
busm.vhd (398, 2002-12-19)
hresult (174385, 2002-12-19)
htest.vhd (873, 2002-12-19)
htesta.vhd (1391, 2002-12-19)
mbus.vhd (485, 2002-12-19)
ram.vhd (673, 2002-12-19)
ram1.vhd (594, 2002-12-19)
ramtest.vhd (1120, 2002-12-19)
ramtest1.vhd (1098, 2002-12-19)
reg5.vhd (491, 2002-12-19)
reg8.vhd (497, 2002-12-19)
ROM_AC_TEST.eda9.651.ow (8429235, 2002-12-19)
romac.vhd (464, 2002-12-19)
romactb.vhd (8571, 2002-12-19)
romactest.vhd (865, 2002-12-19)
romdc.vhd (464, 2002-12-19)
romdctb.vhd (845, 2002-12-19)
romdctest.vhd (866, 2002-12-19)
romrd.vhd (445, 2002-12-19)
romrdtest.vhd (820, 2002-12-19)
romt.vhd (503, 2002-12-19)
romtest.vhd (829, 2002-12-19)
s16.vhd (764, 2002-12-19)
s16r (83150, 2002-12-19)
s16test.vhd (954, 2002-12-19)
s8 (72721, 2002-12-19)
s8.vhd (756, 2002-12-19)
s8test.vhd (928, 2002-12-19)
shifterin.vhd (673, 2002-12-19)
shiftertest.vhd (843, 2002-12-19)
shintest.vhd (838, 2002-12-19)
shouttest.vhd (928, 2002-12-19)
sin (58928, 2002-12-19)
state.vhd (2454, 2002-12-19)
stater (122561, 2002-12-19)
... ...

近期下载者

相关文件


收藏者