more

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:3
上传日期:2009-11-02 11:03:29
上 传 者jingzni
说明:  more状态机.有自起动,功能.出错自检,通过验证
(more state)

文件列表:
一个简单的状态机.vhd (773, 2003-05-05)

近期下载者

相关文件


收藏者