ask

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:21
上传日期:2009-11-06 15:55:06
上 传 者lihaoseu
说明:  通信系统数字信号调制,振幅监控ask信号的调制和解调的VHDL代码
(Communication systems digital signal modulation, amplitude control ask signal modulation and demodulation of the VHDL code for)

文件列表:
PL_ASK.txt (639, 2009-03-18)
PL_ASK2.txt (758, 2009-03-18)

近期下载者

相关文件


收藏者