CPLDFPGA

所属分类:书籍源码
开发工具:VHDL
文件大小:277KB
下载次数:5
上传日期:2009-11-08 21:01:15
上 传 者aoshuang
说明:  《CPLDFPGA嵌入式应用开发技术白金手册》这本书的源代码,从第三章到第十一章所有书上讲解的例子都有。很全,例子也很好。
(It is all the demos about the book,including all the examples from Chapter3 to Chapter11.)

文件列表:
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\DDS\DDS_DDS.sym (275, 2003-12-18)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\DDS\DDS_DDS.VHD (3082, 2003-06-28)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\DDS\DDS_DDS_ROM.sym (182, 2003-12-18)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\DDS\dds_dds_rom.vhd (3851, 2003-06-27)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\FIFO\FIFO.sym (373, 2003-12-18)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\FIFO\fifo.vhd (3494, 2003-12-18)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\交通控制灯\light.quartus (248, 2003-12-18)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\交通控制灯\light.vhd (4657, 2009-02-16)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\aaf.mif (4866, 2003-11-05)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\ADDR.sym (168, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\addr.vhd (2635, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\ADDR_A_F.sym (292, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\addr_a_f.vhd (1021, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\add_a_f.vhd (1030, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\asin.mif (2242, 2003-11-05)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\DIS_SIG.sym (245, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\dis_sig.vhd (568, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\DIVISION10.sym (208, 2003-11-05)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\division10.vhd (614, 2003-11-05)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\DIVISION4.sym (207, 2003-11-05)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\division4.vhd (605, 2003-11-05)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\DRIVE.sym (175, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\drive.vhd (755, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\FANGBO.sym (164, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\fangbo.vhd (753, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\key.gdf (1944, 2003-11-05)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\key.sym (193, 2003-11-05)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\KEY1.sym (168, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\key1.vhd (401, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\LUT.sym (209, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\lut.vhd (1018, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\LUT_A_F.sym (214, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\lut_a_f.vhd (981, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\Result.sym (257, 2003-11-05)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\Result.vhd (3022, 2003-11-05)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\Result_inst.vhd (62, 2003-11-05)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\RSNAND.sym (199, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\rsnand.vhd (419, 2003-11-03)
《CPLDFPGA嵌入式应用开发技术白金手册》源代码\第10章\信号发生器\SANJIAO.sym (171, 2003-11-03)
... ...

coder_decoder_8_3.vhd,coder8_3.vhd,coder8_3_1.vhd 不同方式实现的8线-3线编码器 decoder_3_8.vhd 3线-8线译码器 dff.vhd D触发器 jioujiaoyan.vhd,jioujiaoyan1.vhd 奇偶校验 mux2to1.vhd,mux3to1.vhd,mux3to1_1.vhd,mux4to1.vhd 多路选择器 myrisc.vhd,myrisc2.vhd myand.vhd,myand1.vhd 多输入与门

近期下载者

相关文件


收藏者