SVPWMsignalgeneratoroftheVHDLimplementation

所属分类:系统设计方案
开发工具:VHDL
文件大小:2812KB
下载次数:122
上传日期:2009-11-13 16:37:28
上 传 者jgxup
说明:  SVPWM信号发生器的VHDL实现,收费硕士论文,文章详细研究了SVPWM波的VHDL实现方法.
(SVPWM signal generator of the VHDL implementation, charging master paper, the article detailed study of the SVPWM wave VHDL implementations.)

文件列表:
SVPWM信号发生器的VHDL实现.nh (3007897, 2009-11-13)

近期下载者

相关文件


收藏者