61EDA_D1051

所属分类:压缩解压
开发工具:VHDL
文件大小:24KB
下载次数:16
上传日期:2009-11-18 20:01:38
上 传 者wosipang
说明:  VHDL实现的计算器,能够运行加减乘除简单计算。
(VHDL achieved calculators, multiplication and division addition and subtraction operation)

文件列表:
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator\add.vhd (1460, 2006-11-23)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator\add1.vhd (1664, 2006-11-24)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator\div.vhd (3209, 2006-11-24)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator\fadd.vhd (1039, 2006-11-09)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator\fadd4.vhd (1174, 2006-11-09)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator\key_ctrl.vhd (6127, 2006-11-25)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator\mul.vhd (1522, 2006-11-24)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator\mul2.vhd (1470, 2006-11-16)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator\segment.vhd (3112, 2006-12-19)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator\sign.vhd (7105, 2006-11-25)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator\sub.vhd (1205, 2006-11-23)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator\top.bit (212461, 2006-12-19)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator\top.ucf (672, 2006-11-21)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator\top.vhd (2937, 2006-12-19)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\使用说明请参看右侧注释====〉〉.txt (774, 2008-01-28)
用VHDL编写的计算器:能实现简单的加减乘除四则运算\calculator (0, 2007-03-06)
用VHDL编写的计算器:能实现简单的加减乘除四则运算 (0, 2008-11-13)

近期下载者

相关文件


收藏者