counter
vhdl 

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:5KB
下载次数:6
上传日期:2009-11-25 11:01:49
上 传 者过河卒1979
说明:  一个基于占空比1:1的10-400分频的计数器,很好用,可随意设置
(A duty cycle of 1:1 10-400 points based on frequency counter, useful, free to set up)

文件列表:
count_filter.vhd (794, 2007-07-24)
counter10.vhd (745, 2007-06-28)
counter100.vhd (717, 2008-06-22)
counter2.vhd (590, 2007-09-15)
counter20.vhd (712, 2007-12-12)
counter200.vhd (719, 2007-12-12)
counter40.vhd (715, 2007-09-08)
counter400.vhd (722, 2007-09-15)
counter42.vhd (713, 2007-09-08)
counter50.vhd (711, 2007-09-08)
counter80.vhd (717, 2007-09-12)
count10.vhd (745, 2007-06-28)

近期下载者

相关文件


收藏者