aurora_ipcore_dir

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:19KB
下载次数:24
上传日期:2009-11-25 14:20:44
上 传 者joshstone
说明:  xilinx v5下面,一个基于aurora通信的实现代码
(implement of aurora in xilinx )

文件列表:
ipcore_dir\aurora_iq_pkg.vhd (5403, 2009-05-22)
ipcore_dir\aurora_iq_plb.vhd (47981, 2009-05-22)
ipcore_dir\user_logic.vhd (48400, 2009-05-22)
ipcore_dir (0, 2009-11-20)

近期下载者

相关文件


收藏者