MASK_modulation_VHDL

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:38KB
下载次数:4
上传日期:2009-12-03 17:37:46
上 传 者ptzyy2003
说明:  采用MASK调制的VHDL程序以及它的仿真,是入门最有用的27个例子
(MASK modulation process using VHDL and its simulation, is the entry of the 27 examples of the most useful)

文件列表:
8.12 MASK调制VHDL程序及仿真.doc (60928, 2010-03-28)

近期下载者

相关文件


收藏者