ziluolee 在 2011-02-12 10:25:48 上传
说明:quartus版本的破解 从6.1至9.0间所有版本
开发平台:VHDL | 大小:135KB | 下载39次