20032211

积分:608
上传文件:8
下载次数:33
注册日期:2006-10-19 18:32:58

上传列表
VHDLTEACH.rar - VHDL教程 VHDL与数字电路设计 使用手册,2006-10-20 10:18:51,下载196次
VHDLCIC.zip - 台湾国家晶元设计中心VHDL内部培训资料(CIC),2006-10-20 10:13:42,下载182次
VHDLaldec.zip - VHDL多媒体式教学资料(aldec公司),2006-10-20 10:07:56,下载39次
VHDL-Programming-by-Example.zip - VHDL Programming by Example(McGraw.Hill著 电子版),2006-10-20 10:05:43,下载74次
buider.rar - 实现对人事管理系统的查询、添加、修改、删除、更新等功能,2006-10-19 20:29:07,下载17次
050527.rar - 采用计算机对各种证件进行查验的关键是计算机字符识别,介绍了一个基于视觉, 集文字(包括在线签名)识别和语音输出于一体的证件和票券计算机识别系统设计,通过对证件图 像预处理、文字图像的字符区定位和字符的有效分割来提高文字识别率,实现了对各种证件和票 券的自动查验,实验结果表明,采用该系统可增加验证准确度,提高工作效率。,2006-10-19 20:02:35,下载116次

近期下载

收藏